工业控制 | 能源技术 | 汽车电子 | 通信网络 | 安防监控 | 智能电网 | 移动手持 | 无线技术 | 家用电器 | 数字广播 | 消费电子 | 应用软件 | 其他方案

电路设计->信号产生电路图->其他信号产生器电路图->32768Hz的晶体振荡器电路图

32768Hz的晶体振荡器电路图

作者:dolphin时间:2012-07-23

  电路中,CD4069的门I和门II构成震荡频率为32768Hz的晶体振荡器。其输出经CD4069的门III整形后送至CD4040的 端。CD4040的输出由二极管VD1~VD3置成分频系数为21+25+29=546,经分频后在输出端Q9上便可输出一个60Hz的时钟信号供给数字钟集成电路。图2a是另一种60Hz脉冲发生电路。

  它由集成电路CD4060和谐振频率为30720Hz的晶振等元件组成。CD4060是14位二进制串行计数、分频器和振荡器。CD4060内部分为两部分,其中一部分是14级计数/分频器,其分频系数为16~16348;另一部分既可与外接电阻和电容构成RC振荡器,又可与外接晶体构成高精度的晶体振荡器。

32768Hz的晶体振荡器电路图



  本电路采用外接30720Hz的晶振来组成晶体振荡器,其振荡信号经CD4060内部9次分频后,在Q9端输出准确的60Hz频率信号(30720÷29=60Hz)。该电路与双阴型数字钟配用时,可采用图2b电路进行极性转换。VT1、VT2选用NPN型三极管,如9013、8050等,图中A端接正电源,B端接电源负端。

  该电路与双阳型数字钟配用时,VT1、VT2选用PNP型三极管,如9012、8550等,图中A端接负电源,B端接电源正端。

  


评论

技术专区