工业控制 | 能源技术 | 汽车电子 | 通信网络 | 安防监控 | 智能电网 | 移动手持 | 无线技术 | 家用电器 | 数字广播 | 消费电子 | 应用软件 | 其他方案

电路设计->基础电路图->其他基础电路图->阶梯波信号发生器电路

阶梯波信号发生器电路

作者:dolphin时间:2017-02-13

阶梯波信号发生器电路

是一种阶梯波信号发生器电路,其可产生常用的10阶阶梯波形。IC1是一个二进制计数器
CD4060,电路接通电源后.ICI内的多谐振荡电路起振,振荡的频率由C12、Ru、Rzs及RPI确定。IC1
对该振荡频率计数,并依序由Icl的第⑦、@、④、@i@、@、@、①、②及@脚10个引脚输出高
电平脉冲,在这些脉冲信号的作用下,三极管VT1~VTIO依次饱和导通,从而依次将并在VT1—VT10
集电极及发射极的二极管VD1—VD11短接。VT11是输出信号的控制端,VTI1的发射极的输出电压取
决于其基极的电压,而基极电压是由Ri和二极管VDI—VD11的正向压降和决定的。VDl---VDIO中任
一晶体管导通都会改变VT11基极的电压,于是VT11的发射极输出阶梯波信号。



评论

技术专区