工业控制 | 能源技术 | 汽车电子 | 通信网络 | 安防监控 | 智能电网 | 移动手持 | 无线技术 | 家用电器 | 数字广播 | 消费电子 | 应用软件 | 其他方案

电路设计->综合电路图->综合电路图->由AD5791构成的电压输出数模转换电路

由AD5791构成的电压输出数模转换电路

作者:dolphin时间:2012-07-26

  AD5791是单路20位电压输出数模转换器(DAC),双极工作电压高达33V,正基准电压从5V到VDD-2.5V,负基准电压从VSS+2.5V到0V,精度1ppm,噪音频谱密度7.5 nV/√Hz,温度漂移0.05 ppm/°C,主要用在医疗仪器,测试测量,工业控制和高端科学和航空仪表。
  

由AD5791构成的电压输出数模转换电路
图1.AD5791方框图
AD5791菊花链接方框图
图2.AD5791菊花链接方框图
AD5791典型应用电路

图3.AD5791典型应用电路图



评论

hxq160 · 2013-05-06 11:02:12

兄弟,你有AD5791的verilog驱动程序吗?能否发我一份,谢谢了,我自己写的怎么都测试不对,514660225@qq.com

技术专区