工业控制 | 能源技术 | 汽车电子 | 通信网络 | 安防监控 | 智能电网 | 移动手持 | 无线技术 | 家用电器 | 数字广播 | 消费电子 | 应用软件 | 其他方案

电路设计->光电电路图->其他光电实用电路图->基于C8051F340的并联供电系统设计制作

基于C8051F340的并联供电系统设计制作

作者:dolphin时间:2016-09-29

内容摘要:本系统以C8051F340单片机为控制核心,通过对输出电压和电流采样计算,改变单片机PWM占空比输出,控制MOS管的通断,实现了两个额定输出功率均为16W的8V DC/DC模块并联供电。经测试,该供电系统供电效率为70.57%;调整负载电阻,两个模块的输出电流I1、I2之和为4 A范围内实现I1、I2按1:1和1:2模式自动分配电流,其相对误差绝对值不大于2%;具有负载短路保护功能,保护阈值电流为4.5A。

关键词:开关电源;并联供电;均流控制;C8051F340

近一些年来,随着微电子技术和工艺、磁性材料科学以及烧结加工工艺与其它边沿技术科学的不断改进和快速发展,开关稳压技术也得到了突破性进展。目前,多模块并联供电电源代替单一集中式电源供电已经成为电源系统发展的一个重要方向。并联分布式电源具有可并联式扩展、电源模块的功率密度高,体积、重量小等优点,但同时也存在着由于电源模块直接并联而引起一台或多台模块运行在电流极限值状态的问题。目前,均流控制是实现大功率电源和冗余电源的关键技术。文中设计并制作了一个光伏并网发电模拟装置,实现了双开关电源模块并联供电,提高了系统供电效率,且实现了电流自动分配。

1 设计任务

设计并制作一个由两个额定输出功率均为16 W的8 VDC/DC模块构成的并联供电系统,其结构框图如图1所示。要求调整负载电阻,保持输出电压UO=8.0+0.4V,使两个模块输出电流之和IO=1.0A且按I1:I2=1:1和I1:I2=1:2两种模式自动分配电流,每个模块的输出电流的相对误差绝对值不大于5%;使两个模块输出电流之和IO=4.0A且按I1:I2=1:1模式自动分配电流,每个模块的输出电流的相对误差的绝对值不大于2%;额定输出功率工作状态下,供电系统的效率不低于60%;要求系统具有负载短路保护及自动恢复功能,保护阈值电流为4.5A。

a.JPG

2 系统总体方案设计

并联供电系统主要由控制器模块、DC/DC变换稳压模块、电流检测模块以及输出电压采样模块等组成,系统总体硬件框图如图2所示。在系统中,DC/DC变换稳压模块采用选择非隔离方式的降压斩波电路;电流检测模块通过采样康铜丝上的电压推算出电流值;C8051F340单片机输出PWM波调整DC/DC模块的输出,控制输出电流。

b.JPG

3 DC/DC变换稳压电路设计

DC-DC变换有隔离和非隔离两种。输入输出隔离的方式虽然安全,但是由于隔离变压器的漏磁和损耗等会造成效率的降低,而本题没有要求输入输出隔离,所以选择非隔离方式。本系统采用降压斩波电路(Buck Chopper)。降压斩波电路的原理图如图3所示。采用单片机根据采样到的反馈电压程控改变其产生的PWM波占空比,通过三极管组成的推挽电路驱动,控制P沟道IRF4905开关的导通与截止,使输出电压或电流稳定在设定值。

c.JPG

4 电压电流采样电路

系统采用芯片INA169对康铜丝上的电压进行采样并间接推算出电流值。选择标称值为50 mΩ的康铜丝作为采样对象,经检测,其实际电阻值为47 mΩ,并以此在采集输出电流时进行软件修正。INA169的输出脚OUT直接接入单片机内置A/D转换输入端,其输出电压

VOUT=ItxR10xRs3/1K (1)

当R10=50 mΩ,It=0.5 A,Rs3=20 kΩ时,可算出VOUT=0.5 V,以此类推,当I=1 A,VOUT=1 V,It=2 A时,VOUT=2 V,此比例关系可以方便单片机采样电压。

系统对输出电压采样时,在负载两端并联1 kΩ电阻以及10 kΩ可调电阻,单片机采集输出电压在R11两端的电压,调节RS2,使单片机内置A/D输入端采集到的电压与输出电压成比例1:8的关系。输出电压、电流采样电路如图4所示。

e.JPG

5 系统电压电流测控原理

本系统测控电路原理图如图6所示,控制器模块1(MCU1)采集DC/DC模块1产生的电流和负载上的电压,根据控制策略调节PWM信号,调整DC/DC模块1的输出;控制器模块2(MCU2)采集DC/DC模块2产生的电流和负载上的电压。根据控制策略调节PWM信号,用以调整DC/DC模块1和DC/DC模块2的输出,使得系统达到控制策略所设定的电流I1、电流I2以及负载电压UO。

5.1 MCU1的电流控制策略

MCU1通过采样电流的反馈,将I1的电流控制在0.5 A±5%上。当接收到MCU2的控制信号时MCU1改为进行电压采样,控制PWM信号将输出电压UO稳定在8+0.4 V上,实现负载电压的控制。f.JPG

如果采样到I1小于0.4 A,则返回原始的控制,将I1稳定在0.5 A上,并且向MCU2发送控制信号。如果采样到的I1大于2.6 A,则通知MCU2关闭PWM信号,进行过流保护。MCU1的电流控制策略流程图如图5所示。

5.2 MCU2的电流控制策略

MCU2通过采样电压的反馈将负载电压控制在UO=8±0.4 V上。当I2大于2.2 A时,发送控制信号给MCU1,同时采样电流,将I2稳定在2 A±2%。如果收到MCU1的控制信号就返回控制电压的循环。若收到过流信号则关闭PWM输出。MCU2的电流控制策略流程图如图6所示。

h.JPG

6 系统指标测试与结果

系统测试主要是对系统效率、电流分配性能以及负载过流保护可靠性等指标进行测试,测试电路图如图1所示。

1)效率测试

调整负载电阻RL,当负载功率为额定功率PO(UOXIO)=32 W时,测量供电系统输入电流IIN、输入电压UIN、输出电流IO和输出电压UO,重量测试3次,测量数据见表1。根据式2计算供电系统的效率η:

g.JPG

i.JPG


2)IO=1.0 A时,电流1:1分配性能测试
调整负载电阻RL保持输出电压UO=8.0+0.4 V且使输出电流IO=1.0 A,测量2个电源的输出电流I1和I2,按式3计算每个模块输出电流的相对误差(其中的I1、I2理论值均为0.5 A),重复测量3次,测量及计算数据见表2。

k.JPG


DC/DC模块输出电流的相对误差为δ:
j.JPG
式(3)中,Iit为测量值,Ii为理论值。
3)IO=1.5 A时,电流1:2分配性能测试
调整负载电阻R1,保持输出电压UO=8.0+0.4 V且使输出电流IO=1.5 A,测量2个电源的输出电流I1和I2,按式(3)计算每个模块输出电流的相对误差(其中I1的理论值为0.5 A、I2的理论值为1.0 A),重复测量3次,测量及计算数据见表3。

l.JPG


4)输出电流4.0 A时,电流1:1分配性能测试
测试电路示意图如图1所示,调整负载电阻RL,保持输出电压UO=8.0±0.4 V且使输出电流IO=4.0 A,测量2个电源的输出电流I1和I2,按式3计算每个模块输出电流的相对误差(其中的I1、I2理论值均为2.0 A),重复测量3次,测量及计算数据见表4。

m.JPG


5)负栽短路保护可靠性测试
调整负载电RL,使输出电流IO逐渐变大,当输出电流增大到4.5±0.2 A范围时,观察是否启动自动保护,并记录此时的输出电流值。重复测量5次,测量数据见表5。

n.JPG

7 结论

通过以上测试数据,调整负载电阻,两个模块的输出电流之和为4 A范围内实现按I1:I2=1:1和I1:I2=1:2模式自动分配电流,其相对误差绝对值不大于2%。系统供电效率η≥70%,实现负载短路保护功能,达到设计要求。随着电源系统的数字化及专用微处理器的发展,可以在本系统的基础上结合CAN总线技术,实现更多模块并联交流冗余,更好的采用复杂控制策略,如滑模控制技术,提高鲁棒性,进一步提高系统动态性能。



评论

技术专区